DEPARTMENT OF COMPUTER SCIENCE | DWIGHT LOOK COLLEGE OF ENGINEERING | TEXAS A&M UNIVERSITY

High Performance Computing Laboratory

    Home | Research | Publication | People | Links


Dynamic Thermal Management in CMPs
High Performance Computing Laboratory


Chip Multiprocessors (CMPs) have been prevailing in the modern microprocessor market. As the significant heat is converted by the ever-increasing power density and current leakage, the raised operating temperature in a chip have already threatened the system reliability and led the thermal control to be one of the most important issues needed to be addressed immediately in the chip design. Due to the cost and complexity of designing thermal packaging, many Dynamic Thermal Management (DTM) schemes have been wildly adopted in the modern processors as a technique to control CPU power dissipation. However, it is known that the overall temperature of a CMPs is highly correlated with temperature of each core in the CMPs environments; hence, the thermal model for uniprocessor environments cannot be directly applied in CMPs due to the potential heterogeneity. To our best knowledge, none of prior DTM schemes considers the thermal correlation effect among neighboring cores, neither the dynamic workload behaviors which present different thermal behaviors. We believe that it is necessary to develop an efficient online workload estimation scheme for DTM to be applicable to the real world applications which have variable workload behaviors and different thermal contributions to the increased chip temperature.

Predictive Dynamic Thermal Management Recently, processor power density has been increasing at an alarming rate resulting in high on-chip temperature. Higher temperature increases current leakage and causes poor re- liability. In this work, we propose a Predictive Dynamic Thermal Management (PDTM) based on Application-based Thermal Model (ABTM) and Core-based Thermal Model (CBTM) in the multicore systems. ABTM predicts future temperature based on the application speci?c thermal be- havior, while CBTM estimates core temperature pattern by steady state temperature and workload. The accuracy of our prediction model is 1.6% error in average compared to the model in HybDTM, which has at most 5% error. Based on predicted temperature from ABTM and CBTM, the pro- posed PDTM can maintain the system temperature below a desired level by moving the running application from the possible overheated core to the future coolest core (migra- tion) and reducing the processor resources (priority schedul- ing) within multicore systems. PDTM enables the explo- ration of the tradeoff between throughput and fairness in temperature-constrained multicore systems.

We implement PDTM on Intel's Quad-Core system with a specific device driver to access Digital Thermal Sensor (DTS). Compared against Linux standard scheduler, PDTM can decrease av- erage temperature about 10%, and peak temperature by 5 degrees with negligible impact of performance under 1%, while running single SPEC2006 benchmark. Moreover, our PDTM outperforms HRTM [10] in reducing average temperature by about 7% and peak temperature by about 3 degrees with perfor- mance overhead by 0.15% when running single benchmark.

Comparisons between without DTM and PDTM

Without DTM

PDTM

Hybrid Dynamic Thermal Management Multimedia applications become one of the most popular applications in mobile devices such as wireless phones, PDAs, and laptops. However, typical mobile systems are not equipped with cooling components, which eventually causes critical thermal deficiencies. Although many low-power and low-temperature multimedia playback techniques have been proposed, they failed to provide QoS (Quality of Service) while controlling temperature due to the lack of proper understanding of multimedia applications. We propose Hybrid Dynamic Thermal Management (HDTM) which exploits thermal characteristics of both multimedia applica- tions and systems. Specifically, we model application characteristics as the probability distribution of the number of cycles required to decode a frame. We also improve existing system thermal models by considering the effect of workload. This scheme finds an optimal clock frequency in order to prevent overheating with minimal performance degradation at runtime.

The proposed scheme is implemented on Linux in a Pentium- M processor which provides variable clock frequencies. In or- der to evaluate the performance of the proposed scheme, we exploit three major codecs, namely MPEG-4, H.264/AVC and H.264/AVC streaming. Our results show that HDTM lowers the overall temperature by 15 degrees and the peak temperature by 20 degrees, while maintaining frame drop ratio under 0.2% compared to previous thermal management schemes such as feedback control DTM, Frame-based DTM and GOP-based DTM.

Instructions and Frequency

The number of instructions

The estimated frequency

Correlation-Aware Thermal Management The overall temperature of a CMPs is highly correlated with temperature of each core in the CMPs environments; hence, the thermal model for uniprocessor environments cannot be directly applied in CMPs due to the potential heterogeneity. To our best knowledge, none of prior DTM schemes considers the thermal correlation effect among neighboring cores, neither the dynamic workload behaviors which present different thermal behaviors. We believe that it is necessary to develop an efficient online workload estimation scheme for DTM to be applicable to the real world applications which have variable workload behaviors and different thermal contributions to the increased chip temperature. In this work, we propose a light runtime workload estimation using the cumulative distribution function to observe the processes¡¯ dynamic workload behaviors, and present a proper thermal model for CMPs systems to analyze the thermal correlation effect by profiling the thermal impacts from neighboring cores under the specific workload. Hence, according to the estimated representative workload and modeled thermal correlation effect, we estimate each core¡¯s future temperature more accurately with only 2.4% error in average. Next, Proactive Correlation-Aware Thermal Management (ProCATM) is introduced to avoid thermal emergencies and provide thermal fairness with negligible performance overhead.

we implement and evaluate ProCATM in an Intel Quad Core Q6600 and an Intel Core i7 965 processor systems running grouped multimedia application and several benchmarks for server environments. According to the experimental results, ProCATM reduces the peak temperature by up to 9.09% and 7.94% in our 4-cores system and 8-cores system with only 2.28% and 0.54% performance overhead respectively compared to the Linux standard scheduler.

Correlation-Aware Thermal Management

System Overview


Papers

  • "Temperature-Aware Scheduler Based on Thermal Behavior Grouping in Multicore Systems," in Design, Automation & Test in Europe (DATE 2009), Nice, France, April, 2009.

    © 2004 High Performance Computing Laboratory, Department of Computer Science, Texas A&M University
    427C Harvey R. Bright Bldg, College Station, TX 77843-3112